Starten Sie Ihre KI-Reise mit Deutschlands modernster KI-Beratung

Chinas Durchbruch im Chip-Bereich: EUV-Patent als Schlüssel zur Unabhängigkeit?

BY Oliver Welling
Chinas Durchbruch im Chip-Bereich

Ein neues Patent von Shanghai Micro Electronics Equipment (SMEE), einem führenden chinesischen Hersteller von Lithografiesystemen, hat das Potenzial, das Blatt im globalen Halbleitermarkt zu wenden. Der „Extreme Ultra Violet (EUV) Radiation Generators and Lithography Equipment“ genannte Patentantrag, der im März 2023 eingereicht wurde und sich derzeit in der Prüfung durch die chinesische Patentbehörde befindet, könnte China den Weg zur Eigenständigkeit bei der Produktion von EUV-Werkzeugen ebnen. Das wäre ein gewaltiger Schritt für China, das derzeit stark von der niederländischen Firma ASML abhängig ist, die bislang ein nahezu komplettes Monopol auf EUV-Maschinen besitzt und aufgrund von US-Sanktionen keine EUV-Systeme nach China exportieren darf.

Das musst Du wissen – Chinas neuer Angriff auf die Chip-Monopole

  • Patent-Antrag: SMEE hat im März 2023 ein Patent für EUV-Lithografie-Technologie eingereicht, das im September 2024 veröffentlicht wurde.
  • Marktkontrolle: ASML und japanische Firmen kontrollieren aktuell 99 % des chinesischen Lithografie-Marktes.
  • US-Sanktionen: SMEE steht auf der schwarzen Liste der US-Handelskammer, was die Importe von US-Technologien erheblich einschränkt.
  • EUV-Technologie: Notwendig für die Massenproduktion von Chips kleiner als 7 nm und wichtig für die Zukunft der globalen Halbleiterindustrie.
  • Chinas Herausforderung: Trotz der Fortschritte liegt SMEE weiterhin hinter den globalen Marktführern zurück.

Der Druck auf den niederländischen Branchenprimus ASML (Advanced Semiconductor Materials Lithography) steigt. Die Firma hat den Export von hochentwickelten EUV-Maschinen nach China seit 2019 eingestellt, und durch die jüngsten Exportbeschränkungen der Niederlande im September 2023 muss ASML nun auch für die Lieferung von Ersatzteilen und Software-Updates Genehmigungen einholen. Die chinesischen Hersteller, insbesondere SMEE, sind gezwungen, ihre Forschung und Entwicklung zu intensivieren, um die technologische Lücke zu ASML zu schließen. Das kürzlich veröffentlichte Patent von SMEE zeigt einen möglichen Weg auf, um diese Lücke zu verringern.

Das Patent zielt auf die Produktion von EUV-Lithografiemaschinen ab, die Licht mit einer Wellenlänge von nur 13,5 Nanometern verwenden – eine nahezu Röntgen-ähnliche Strahlung, die fast 14-mal kürzer ist als die der herkömmlichen Deep Ultraviolet (DUV)-Lithografie. Diese Maschinen sind unerlässlich für die Herstellung von Halbleitern kleiner als 7 Nanometer, da sie eine höhere Produktionsausbeute ermöglichen, was bedeutet, dass mehr fehlerfreie Chips produziert werden können.

Die Herstellung solcher EUV-Werkzeuge in China wäre ein bedeutender technologischer Durchbruch. Bisher musste die größte chinesische Halbleiterfabrik, Semiconductor International Manufacturing Corporation (SMIC), bei der Produktion von 7-nm-Chips auf „Multiple Patterning“ zurückgreifen. Diese Methode, die aufgrund fehlender EUV-Maschinen nötig ist, führt zu geringeren Produktionsausbeuten und höheren Kosten.

Für Unternehmen wie Huawei, deren Flaggschiff-Handys von diesen Chips angetrieben werden, bedeutet die Nutzung der EUV-Technologie nicht nur einen Wettbewerbsvorteil, sondern auch eine Möglichkeit, die Abhängigkeit von importierten Technologien zu verringern. Doch der Weg dorthin ist mit Herausforderungen gepflastert. Das SMEE-Patent muss noch validiert werden, und selbst wenn es das tut, bleibt die Frage, ob das Unternehmen in der Lage sein wird, die für die kommerzielle Produktion erforderliche Zuverlässigkeit und Präzision zu erreichen.

Im Gegensatz dazu bleibt ASML, trotz der Lieferengpässe und Sanktionen, der unangefochtene Marktführer im Bereich der EUV-Technologie. Im Jahr 2023 machten EUV-Maschinen 42 % des Systemumsatzes von ASML aus, was einem Umsatz von 21,9 Milliarden Euro entspricht. Kunden wie Taiwan Semiconductor Manufacturing Company (TSMC) und Samsung Electronics sichern sich diese Maschinen, um ihre Produktionslinien weiter auf die 3-nm-Chip-Herstellung zu trimmen.

Fazit: Chinas Vorstoß in die Halbleiter-Zukunft

Chinas Versuch, die technologische Vorherrschaft von ASML im Bereich der Lithografie zu brechen, ist ein gewaltiges Unterfangen. SMEE’s neues Patent könnte einen Wendepunkt markieren und Chinas Halbleiterindustrie eine dringend benötigte technologische Aufrüstung bieten. Doch bleibt abzuwarten, ob die Ambitionen mit der Realität übereinstimmen. Technologische Expertise, Fertigungskapazitäten und die geopolitischen Spannungen zwischen den USA und China werden entscheidend sein. Ohne Zweifel zeigt das Patent jedoch den festen Willen Chinas, sich in einem der wichtigsten Märkte der Zukunft unabhängig zu machen und eine eigene Basis für die fortschrittlichste Chipproduktion zu schaffen.

Während sich die Welt auf die Entwicklungen in diesem Bereich fokussiert, bleibt die Frage, ob SMEE das Potenzial hat, ASML herauszufordern und Chinas Abhängigkeit von westlicher Technologie endgültig zu beenden.

#Halbleiter #Technologie #EUV #China #ASML #SMEE

Chinese chip making shows progress with new EUV patent from domestic lithography champion

Weitere Artikel mit dem Themenschwerpunkt China:

Chinas Strategie zur globalen KI-Governance: Ein Drahtseilakt zwischen Macht und Kooperation

China: 1,4 Billionen USD für Künstliche Intelligenz in nur sechs Jahren? – Die KI-Industrie vor einer gigantischen Expansion

Neuer globaler Standard für KI-Lieferketten: Kollaboration der Tech-Giganten

Zhixiaobao: Chinas Antwort auf Tony Starks Jarvis?

Moonshot AI Yang Zhilin: Visionär und Gründer von Chinas wertvollstem KI-Unicorn

Qwen2-VL: Die Zukunft der visuellen und sprachlichen KI-Verschmelzung

ByteDance und TikTok: Jimeng AI – Das Ultimative Video-Tool für Text-zu-Video

China’s KI-Riesen dominieren den Markt für Unternehmens-Language-Models

Chinas Aufstieg zur Eigenständigkeit in der Chipproduktion

Chinas KI-Fortschritte: Trotz Chip-Beschränkungen wird der Vorsprung zu den USA kleiner

China’s AI-Explosion: Über 1,67 Millionen AI-Unternehmen im ersten Halbjahr 2024

Alibaba Tora: Das neue Maß an KI-gesteuerter Videotechnologie?
Künstliche Intelligenz – USA vs. China -Das Rennen ist vorbei

Squirrel AI: Mit KI in China besser lernen

China exportiert seinen KI-Überwachungsstaat: Eine Bedrohung für Demokratie und Freiheit?

Zhipu AI Ying: Die neue Herausforderung für OpenAI

TikTok: EU-Entscheidung und die millionenschwere Compliance-Herausforderung

KI beschleunigt Chinas wissenschaftliche Innovation: Ein tiefer Einblick
NVIDIA plant neuen Blackwell AI-Chip für China
Künstliche Intelligenz mit sozialistischen Werten – Neue Richtlinie für Sprachmodelle in China
CMMaTH und die 23.000 Wege zur Mathematik aus China – Innovation in der KI-Bewertung
ByteDance, Alibaba und SenseTime dominieren Chinas Markt für Generative KI

China strebt nach globaler Führung in der Regulierung der Künstlichen Intelligenz

Alibaba setzt auf generative KI-Tools für internationale Händler

OpenAI schließt China von der Nutzung seiner Dienste aus
KI Supermacht China – Größere Modelle und mehr Daten machen den Unterschied

SenseTime SenseNova 5.5 fordert OpenAI heraus – KI-Duell der Giganten auf der World Artificial Intelligence Conference (WAIC) 2024World Artificial Intelligence Conference (WAIC) 2024 – China demonstriert KI-Stärke trotz Herausforderungen
China führt weltweit bei GenAI-Patenten
China plant die Einführung von 50 KI-Standards bis 2026
Chinas Militärische KI-Hindernisse: Technologische Herausforderungen bewältigen

Qwen2 schlägt Llama3 in fast allen Benchmarks

Qwen2: Fortschritte in der KI-Forschung

Chinas KI-Experten verlassen ByteDance und Kuaishou
Chinas Weg an die KI-Spitze: 
Künstliche Intelligenz in Afrika: KI als Chance und Herausforderung für Afrika
China – Heiße Förderung für kühle Chips
Taichi KI-Chip: Chinas neueste Erfindung verspricht 100-fache Effizienzsteigerung
Chinas Antwort auf OpenAI, 
OpenAI CEO fordert China auf bei der Gestaltung von KI Sicherheitsrichtlinien zu helfen, 
Chinas neue KI-Richtlinien: KI muss sozialistischen Werten folgen, 
AGI China auf dem Weg zur Schaffung einer denkenden Maschine, 
China HQTrack: Ein AI-Framework für hochwertiges Tracking in Videos, 
US-China Investitionsbeschränkungen: USA setzt zukünftige Investitionen in chinesische Technologie-Sektoren aus, 
KI Rennen USA vs. China – Wie Alibaba und Nvidia das Spielfeld verändern, 
ChatGPT auf Chinesisch: Baidu, SenseTime – Chinas Tech-Giganten sprechen jetzt, 
Qwen – Sprachmodell aus China siegt in zahlreichen Benchmarks

Ähnliche Beiträge

Business Politik und Regulierung

Wie der EU AI Act den Einsatz von Künstlicher Intelligenz in Ihrem Lebensmittelunternehmen beeinflusst: Chancen

Wie der EU AI Act den Einsatz von Künstlicher Intelligenz in Ihrem Lebensmittelunternehmen beeinflusst: Chancen und Pflichten

Business Events

Die 5. KI-Landeskonferenz in Schleswig-Holstein

Die 5. KI-Landeskonferenz in Schleswig-Holstein

Folge uns

Beliebte Artikel

About Author

Maßgeschneiderte KI-Lösungen für Ihr Unternehmen

TechNow ist Ihr strategischer Partner für den erfolgreichen Einsatz von Künstlicher Intelligenz.

Beraten lassen

HOT CATEGORIES

en_GBEnglish